-- 4-bit Hex to 7-segment display decoder -- Jorge Ortiz, University of Kansas -- Created for EECS 140 Lab 6 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY Hex_to_LED IS -- No carry in, for demonstration purposes PORT ( Hex : IN STD_LOGIC_VECTOR(3 downto 0); Display : OUT STD_LOGIC_VECTOR(6 downto 0)); END Hex_to_LED; ARCHITECTURE Procedural of Hex_to_LED is BEGIN PROCESS (Hex) BEGIN CASE Hex IS WHEN "0000" => Display <= "0000001"; WHEN "0001" => Display <= "1001111"; WHEN "0010" => Display <= "0010010"; WHEN "0011" => Display <= "0000110"; WHEN "0100" => Display <= "1001100"; WHEN "0101" => Display <= "0100100"; WHEN "0110" => Display <= "0100000"; WHEN "0111" => Display <= "0001111"; WHEN "1000" => Display <= "0000000"; WHEN "1001" => Display <= "0000100"; WHEN "1010" => Display <= "0001000"; WHEN "1011" => Display <= "1100000"; WHEN "1100" => Display <= "0110001"; WHEN "1101" => Display <= "1000010"; WHEN "1110" => Display <= "0110000"; WHEN "1111" => Display <= "0111000"; WHEN OTHERS => Display <= "1111110"; -- Dash END CASE; END PROCESS; END Procedural;