-- Unsigned 4 bit XOR -- Jorge Ortiz, University of Kansas -- Created for EECS 140 Lab 6 library ieee; use ieee.std_logic_1164.all; ENTITY XORer IS PORT ( C : IN STD_LOGIC_VECTOR(3 downto 0); D : IN STD_LOGIC_VECTOR(3 downto 0); Xor_Result : OUT STD_LOGIC_VECTOR(3 downto 0) ); END XORer; ARCHITECTURE Behavioral of XORer is BEGIN Xor_Result <= C XOR D; END Behavioral;